Flip-Flop startverdien ved oppstart?

L

laststep

Guest
Hei,

Jeg har en tvil på FF oppstart verdien håper kan få svaret gjennom dette forumet.

La sa vi bygge en positiv klokke kant flip-flop med forhåndsinnstilte og tydelig kontroll på terningen vår.
Hvis den forhåndsinnstilte og klart signal om ikke å være aktive ved oppstart og klokken signal holde så lav,
hva som ville bli resultatet av FF?Vil det være noen verdi enten '1 'eller '0' eller det ville være udefinert ( 'X')?

Noen fortalte meg at på grunn av den karakteristiske dø, vil FF har noen initial verdi selv det ikke er forhåndsinnstilt og klar til oppstart med klokken aldri veksle før.Er det sant?
Takk.

 
På grunn av ulike transistor parametere som forårsakes av variasjoner i behandlingen parametre over dør, trenger du ikke vet hvilken vei apparatet vil makt opp til.

Dette er hvorfor mange kretser har en "power up reset" krets.

 
Kort sagt, det er sant.Men du vil ikke vite om det vil ende opp som logikk '1 'eller '0'.

Elektrisk, selv om det først starter opp i et mellomliggende spenningsnivå (dvs. i metastabil stat), vil det være nok støy og parameter variasjon i låsen feedback til å skyve den mot enten VDD "eller" Jord "

 
Jeg opplevde dette problemet nylig også.

Jeg akter å simulere en tre stat fase detektor med krydder.Jeg fikk resultatet alltid X, på grunn av ugyldig startverdien.Så hva kan jeg gjøre for å løse dette problemet?

 
Quote:

Jeg akter å simulere en tre stat fase detektor med krydder.
Jeg fikk resultatet alltid X, på grunn av ugyldig startverdien.
Så hva kan jeg gjøre for å løse dette problemet?
 
Så, flip-flop vil etter hvert bli til '1 'eller '0' på første etappe.
Hvis jeg prøver å modellen er en flip-flip, kan jeg angi startverdien til enten '0 'eller '1' i dette tilfellet?
Noe som dette:

innledende begynne
tildele q = 1b'1;
if (reset) (
q = 1'b0;
)
elsif (posedge klokke og klokke = 1) (
q = d;
)

 
Quote:

innledende begynne

tildele q = 1b'1;

if (reset) (

q = 1'b0;

)

elsif (posedge klokke og klokke = 1) (

q = d;

)
 
Mange FPGA familier er å sikre en definert registrere tilstand når strømmen slås på reset.Verktøyene er å syntetisere en riktig kraft på staten fra Verilog innledende blokker eller VHDL signal initialisation.Det er definitivt jobbe med Altera FPGA og qu (at) rtus.

Selvfølgelig kan det være mange grunner til å bruke en explicite reset uansett.

 
Dette problemet kan også skyldes slår opp.
Våre strømforsyninger vanligvis dont å vdd det øyeblikk vi bryteren PÅ.
Det er en begrenset økning tid til det.Jeg har også støtt på dette problemet, mens jeg prøvde å bygge en digital klokke telleren da drevet opp wont gå til 0000 stat.
Rett meg om jeg tar feil.
Takk.

 
Gjennom diskusjon, fant jeg ut at det er blanding urelaterte problemer, f.eks atferd FF som sådan oppførsel av FPGA og simulering.Din legge temaet ulike matespenning stige.

- En FF generelt, også en teller, for eksempel som TTL eller CMOS logikk enhet, vanligvis ikke har strøm-on-reset (POR).I de fleste tilfeller har den et utilsiktet opprinnelige tilstand.En POR bør utformes i kretsen, helst på en måte som er unsensitive å avta og ikke-Monotonic matespenning stige og slike spørsmål.
a POR respectivly a defined power-on state.

En FF i en CPLD eller FPGA har
det meste en POR respectivly en definert power-on tilstand.

I simuleringen er et ukjent tilstand antatt for alle registre som regel.Dermed en ekstra initialisation registre for simulering kan være nødvendig å gjøre design fungere i det hele, selv for et enkelt / 2 klokken divider.

 
HI fvm,

Takk for gjennomsyn.Jeg er mer interessert i CPLD eller FPGA enhet.Disse enheten har den POR men jeg tror ikke alle FF blir tilbakestilt etter POR avtrekkeren.

Mest sannsynlig hvis vi gjør en ukjent tilstand sjekker alle FF, vil vi likevel funnet noen FF med unknow verdi.Hvis vi gjør en "sjekker X 'i alle design våre, vil dette FF føre til en falsk advarsel.Men denne "x"-verdien er akseptabel årsak er verken påstanden eller design skyld.Så jeg bare lurer på om vi kan sette en initialisere verdi for disse FF og fordi jeg ville like å vite at i reelle tilfelle er det FF også å ha noen initialisere verdi for dem det gjorde ikke får tilbakestilt av POR.

 

Welcome to EDABoard.com

Sponsor

Back
Top