Hjelp meg skrive test koder for skift register

A

Abi88

Guest
hei alle ... jeg prøver på koder for skift registrere deg ... Er det noen som kan hjelpe meg til å skrive en test koder slik at jeg kan prøve dem ut? pls guide for hvor du skal inn n output pinnene på UP2 bord bruke CPLD .. takk! library IEEE; bruk ieee.std_logic_1164.all; enhet shift_register4 er port (reset: i std_logic; clk: i std_logic; si: i std_logic; s0: out std_logic; q: ut std_logic_vector (3 downto 0)); end enhet shift_register4; arkitektur atferdsmessige av shift_register4 er signal skift: std_logic_vector (3 downto 0); begynne prosessen (SI, clk, reset) begynne if (reset = '1 ') så skift
 
Jeg skriver en enkel testbench, og du kan skrive en mer omfattende testbench. enhet tb_shift_register4 er slutt enhet tb_shift_register4; arkitektur atferd av tb_shift_register4 er signal nullstilt: std_logic: = '1 '; signal clk: std_logic: = '0'; signal si: std_logic; signal s0: std_logic; signal q: std_logic_vector (3 downto 0 ); signal cnt8: usignert (2 downto 0); signal temp: usignert (7 downto 0); KONSTANT ONE_B_FS: tid: = 1000000000.0000 fs; KONSTANT Fclk: reelt: = 155,62; KONSTANT Tclk: tid: = ONE_B_FS / Fclk; begynne shift_u: shift_register4 (reset => reset, clk => clk, si => si, S0 => S0, q => q); reset
 
Takk for hjelpen .. kanskje jeg vet hvordan kobler jeg når jeg wan å laste ned til UP2 styret for testing? både innganger og utganger ... kanskje jeg vet wat er cnt8 og temp for? wat er one_b_fs, Fclk og Tclk? kan bare forklare hvordan ting fungerer jeg fant noen feil under "signal cnt8:? usignert (2 downto 0 )....."
 
Ovennevnte testbench code bare kan simuleres i Modelsim miljø. I virkelighetens verden, for eksempel din U2P brettet, har du to valg. Det ene er bruk sierial data og klokke generator (PCM etc.). En annen er å generere data og klokke av CLPD selv. cnt8: en teller av 8 temp: temproray data one_b_fs: milliard fs Fclk: klokke frekvens du skal. Tclk: dette clock'd perioden Du kan fikse det syntax error fordi jeg ikke har simualte det i Modelsim. Jeg bare fortelle deg et enkelt eksempel. Du kan perfeksjonere det. Good luck!
 

Welcome to EDABoard.com

Sponsor

Back
Top