A
Abi88
Guest
hei alle ... jeg prøver på koder for skift registrere deg ... Er det noen som kan hjelpe meg til å skrive en test koder slik at jeg kan prøve dem ut? pls guide for hvor du skal inn n output pinnene på UP2 bord bruke CPLD .. takk! library IEEE; bruk ieee.std_logic_1164.all; enhet shift_register4 er port (reset: i std_logic; clk: i std_logic; si: i std_logic; s0: out std_logic; q: ut std_logic_vector (3 downto 0)); end enhet shift_register4; arkitektur atferdsmessige av shift_register4 er signal skift: std_logic_vector (3 downto 0); begynne prosessen (SI, clk, reset) begynne if (reset = '1 ') så skift