P
pjyc
Guest
HI THERE. Jeg har 80Mhz klokke på systemet mitt. og vi trenger å få 26.666Mhz fra 80Mhz. (80Mhz / 3 = 26.666Mhz) Nedenfor kildekode er den delen av 26.6Mhz klokke generater. Vi har fått gode resultat av simulator. men virkelige systemet var ikke bra. Er det noen som kan foreslå anyting å gjøre med det? takk.
Code:
library IEEE; bruk ieee.std_logic_1164.all, bruk ieee.std_logic_unsigned.all; enhet clk26M er port (clk: i std_logic; outclk: buffer std_logic); end clk26M; arkitektur p1 av clk26M er signal count: std_logic_vector (2 downto 0); signal div2: std_logic; signal div3: std_logic; signal dlydiv3: std_logic; begynner - Delte to prosess (clk) begynne if (clk'event og clk = '1 ') da div2