Pls hjelpe meg med programmet telleren!

K

kokei74

Guest
Hei alle,

Jeg prøver å gjøre en teller som teller 0-9 og dette programmet vil telle flere ganger før signalet count = 0 eller klart = 0.Jeg bruker en 4bit n = 4, og det bør telle 0-15.Så jeg vil telle bare fra 0-9, kan hjelpe meg noen?dette er min programmet teller som teller bare 0-15.

library IEEE;
bruk ieee.std_logic_1164.all;
bruk ieee.std_logic_unsigned.all;

-------------------------------------------------- --

enhet er counter

generisk (n: naturlige: = 4);
port (Clock: in std_logic;
klar: in std_logic;
count: in std_logic;
Q: out std_logic_vector (n-1 downto 0)
);
end counter;

-------------------------------------------------- --

arkitektur behv av telleren er

signal Pre_Q: std_logic_vector (n-1 downto 0);

begynne

Prosessen (klokke, telle, klar)
begynne
hvis clear = '1 'da
Pre_Q <= Pre_Q - Pre_Q;

elsif (klokke = '1 'og clock'event) så
hvis count = '1 'da
Pre_Q <= Pre_Q 1;
end if;
end if;
end process;

Q <= Pre_Q;

end behv;

 
Spørsmålet er ikke klart, u vil ha en teller som teller 0 til 9 eller hva ..
være klare, kan vi hjelpe lett ..

 
Code:library IEEE;

bruk ieee.std_logic_1164.all;

bruk ieee.std_logic_unsigned.all;-------------------------------------------------- --enhet er countergenerisk (n: naturlige: = 4);

port (Clock: in std_logic;

klar: in std_logic;

count: in std_logic;

Q: out std_logic_vector (n-1 downto 0)

);

end counter;-------------------------------------------------- --arkitektur behv av telleren ersignal Pre_Q: std_logic_vector (n-1 downto 0);begynneProsessen (klokke, telle, klar)

begynne

hvis clear = '1 'da

Pre_Q <= 0;elsif (klokke = '1 'og clock'event) så

hvis count = '1 'da

if (Pre_Q / = 9) og

Pre_Q <= Pre_Q 1;

ellers

Pre_Q <= 0;

end if

end if;

end if;

end process;Q <= Pre_Q;end behv;

 
Ja jeg vil telle 0-9.Btw takk avimit for kodet!

<img src="http://www.edaboard.com/images/smiles/icon_biggrin.gif" alt="Very Happy" border="0" />
 

Welcome to EDABoard.com

Sponsor

Back
Top