som kunne gi meg et eksempel om den sanne toporters ram?

L

lzh08

Guest
som kunne gi meg et eksempel om den sanne toporters ram designet av ep1c6 (syklon seriell).

 
Følgende er VHDL-koden for en toporters RAM med asynkrone lese.
Referanse: XST Brukerhåndboklibrary IEEE;
bruk ieee.std_logic_1164.all;
bruk ieee.std_logic_unsigned.all;

enhet raminfr er
port (clk: in std_logic;
vi: in std_logic;
a: in std_logic_vector (4 downto 0);
dpra: in std_logic_vector (4 downto 0);
di: in std_logic_vector (3 downto 0);
spo: out std_logic_vector (3 downto 0);
DPO: out std_logic_vector (3 downto 0));
end raminfr;

arkitektur syn av raminfr er
type ram_type er array (31 downto 0) av std_logic_vector (3 downto 0);
signal RAM: ram_type;
begynne
process (clk)
begynne
if (clk'event and clk = '1 ') then
if (vi = '1 ') then
RAM (conv_integer (a)) <= di;
end if;
end if;
end process;
spo <= RAM (conv_integer (a));
DPO <= RAM (conv_integer (dpra));
end syn;

 

Welcome to EDABoard.com

Sponsor

Back
Top