Y
yasser_shoukry
Guest
Hvordan kan jeg skrive en test benk koden ved hjelp Verilog for en VHDL design? Takk på forhånd
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
Poenget er, det er opp til de verktøy for å "binde" det DUT (eller hvilken som helst modul / enhet / instans ) til enten VHDL / Verilog / SystemC etc. Sure språk har konfigurasjon konstruerer osv. Men det er for enkelt språk domener vanligvis. For eksempel ovenfor trivielle design kan være simulert i VCSMX & MTI slik:Og med MTI:Code:vhdlan-arbeid vhdl_lib vhdl_dut.vhdl vlogan-arbeid vlog_lib vlog_tb.v VCS-debug vlog_tb-R-l run.log
(NC har ncvhdl, ncvlog, ncelab, ncsim kommandoer for det samme). Gi meg beskjed hvis du trenger mer dersom visse hjelp. HTH Ajeetha, CVC www.noveldv.comCode:vcom-arbeid vhdl_lib vhdl_dut.vhdl vlogg-arbeid vlog_lib vlog_tb.v vsim vlog_tb-l run.log